stdin by thread

This archive was generated by hypermail 2.2.0 : Wed Apr 09 2008 - 06:04:19 CEST