stdin by thread

This archive was generated by hypermail 2.2.0 : Thu Mar 04 2010 - 18:19:39 CET